A General Graph Based Pessimism Reduction Framework For Design Optimization Of Timing Closure

item.page.doi

Abstract

In this paper, we develop a general pessimism reduction framework for design optimization of timing closure. Although the modified graph based timing analysis (mGBA) slack model can be readily formulated into a quadratic programming problem with constraints, the realistic difficulty is the size of the problem. A critical path selection scheme, a uniform sampling method with the sparse characteristics of the optimal solution, and a stochastic conjugate gradient method are proposed to accelerate the optimization solver. This modified GBA is embedded into design optimization of timing closure. Experimental results show that the proposed solver can achieve 13.82x speedup than gradient descent method with similar accuracy. With mGBA, the optimization of timing closure can achieve a better performance on area, leakage power, buffer counts.

Description

Full text access from Treasures at UT Dallas is restricted to current UTD affiliates (use the provided Link to Article). Non UTD affiliates will find the web address for this item by clicking the "Show full item record" link, copying the "dc.relation.uri" metadata and pasting it into a browser.

Keywords

Computer-aided design, Graphic methods, Quadratic programming, Stochastic systems, Timing circuits, Conjugate gradient methods

item.page.sponsorship

National Key Research and Development Program of China 2016YFB0201304, National Major Science and Technology Special Project of China (2017ZX01028101-003), National Natural Science Foundation of China (NSFC) research projects 61674042, 61574046, 61574044, 61774045, and 61628402. NSF Grant 1115564.

Rights

©2018 Association for Computing Machinery

Citation

Collections