Peng, F.Yan, C.Feng, C.Zheng, J.Wang, S. -GZhou, DianZeng, X.2019-07-112019-07-112018-06-240738100X; 9781450357005 (ISBN)https://hdl.handle.net/10735.1/6681Full text access from Treasures at UT Dallas is restricted to current UTD affiliates (use the provided Link to Article). Non UTD affiliates will find the web address for this item by clicking the "Show full item record" link, copying the "dc.relation.uri" metadata and pasting it into a browser.In this paper, we develop a general pessimism reduction framework for design optimization of timing closure. Although the modified graph based timing analysis (mGBA) slack model can be readily formulated into a quadratic programming problem with constraints, the realistic difficulty is the size of the problem. A critical path selection scheme, a uniform sampling method with the sparse characteristics of the optimal solution, and a stochastic conjugate gradient method are proposed to accelerate the optimization solver. This modified GBA is embedded into design optimization of timing closure. Experimental results show that the proposed solver can achieve 13.82x speedup than gradient descent method with similar accuracy. With mGBA, the optimization of timing closure can achieve a better performance on area, leakage power, buffer counts.en©2018 Association for Computing MachineryComputer-aided designGraphic methodsQuadratic programmingStochastic systemsTiming circuitsConjugate gradient methodsA General Graph Based Pessimism Reduction Framework For Design Optimization Of Timing ClosurearticlePeng, F., C. Yan, C. Feng, J. Zheng, et al. 2018. "A general graph based pessimism reduction framework for design optimization of timing closure." Proceedings - Design Automation Conference, 55 vol.137710: art. 25, doi:.10.1145/3195970.3195973137710